Zhuo Feng (zfeng12)

Zhuo Feng

Professor

Charles V. Schaefer, Jr. School of Engineering and Science

Department of Electrical and Computer Engineering

Education

  • PhD (2009) Texas A&M University (Computer Engineering)
  • MS (2005) National University of Singapore (Electrical and Computer Engineering)
  • BS (2003) Xi’an Jiaotong University (Information Engineering)

Research

VLSI Design and Computer-Aided Design (CAD)
Spectral Methods for Machine Learning and Scientific Computation
Modeling and Simulation of Complex Systems
Heterogeneous Parallel Computing Algorithms

Institutional Service

  • ECE Graduate Curriculum Committee Member
  • ECE Research Committee Member
  • Hardware Utility Design and Software Optimization Networking lab (HUDSON Lab), Chair
  • SES Research Committee Member
  • SES Faculty Advisory Council (FAC) Member

Professional Service

  • ACM/IEEE International Conference on Computer-Aided Design (ICCAD) Technical Program Committee Member
  • ACM/IEEE International Conference on Computer-Aided Design (ICCAD) Track Chair for Tools and Design Methods with and for Artificial Intelligence (Al)
  • ACM/IEEE Design Automation Conference (DAC) Technical Program Committee Member
  • ACM/IEEE International Conference on Computer-Aided Design (ICCAD) Best Paper Selection Committee
  • The AAAI Conference on Artificial Intelligence Technical Program Committee Member
  • Committee member of the ICCAD 10-year retrospective paper award
  • ACM/IEEE Design Automation Conference Best Paper Selection Committee

Consulting Service

Cadence Design Systems, 2021-2022
NXP Semiconductors, 2024-

Innovation and Entrepreneurship

Co-Founder and Chief Technology Officer, LeapLinear Solutions, 2016-

Professional Societies

  • IEEE – Institute of Electrical and Electronics Engineers Senior member

Grants, Contracts and Funds

(Lead PI) Collaborative Research: SHF: Medium: Co-optimizing Spectral Algorithms and Systems for High-Performance Graph Learning, 07/2022-06/2026, Division of Computing and Communication Foundations, The National Science Foundation.

(Sole PI) SHF: Small: Learning Circuit Networks from Measurements, 10/2022-09/2025, Division of Computing and Communication Foundations, The National Science Foundation.

(Sole PI) SHF: Small: Spectral Reduction of Large Graph and Circuits Networks, 07/2019-06/2023, Division of Computing and Communication Foundations, The National Science Foundation.

(Sole PI) SHF: Small: Scalable Spectral Sparsification of Graph Laplacians and Integrated Circuits, 06/2016-05/2021, Division of Computing and Communication Foundations, The National Science Foundation.

(Sole PI) CAREER: Leveraging Heterogeneous Manycore Systems for Scalable Modeling, Simulation and Verification of Nanoscale Integrated Circuits, 06/2014-05/2022, Division of Computing and Communication Foundations, The National Science Foundation.

(Sole PI) SHF: Small: Graph Sparsification Approach to Scalable Parallel SPICE-Accurate Simulation of Post-layout Integrated Circuits, (09/2013-08/2017), Division of Computing and Communication Foundations, The National Science Foundation.

(Sole PI) Spectral Methods for Scalable Integrated Circuit Partitioning and Analysis, 12/2017, Keysight Technologies.

(Sole PI) GPU Research Center, 2014, NVIDIA Corporation

(Sole PI) Leveraging Heterogeneous Manycore Systems for Scalable Modeling, Analysis and Verification of Nanoscale VLSI Systems, 2014-2015, Research Excellence Fund, MTU

(Sole PI) Verification of Large Power Delivery Networks, 2013- 2014, Intel Corporation.

Selected Publications

Note: Supervised students are delineated with an asterisk (*).
Conference Publications
[C50] [DAC24] John Anticev*, Ali Aghdaei*, Wuxinlin Cheng* and Zhuo Feng, SGM-PINN: Sampling Graphical Models for Faster Training of Physics-Informed Neural Networks, ACM/IEEE Design Automation Conference (DAC), June 2024 (acceptance rate: 20%).

[C49] [DAC24] Ali Aghdaei* and Zhuo Feng, inGRASS: Incremental Graph Spectral Sparsification via Low-Resistance-Diameter Decomposition, ACM/IEEE Design Automation Conference (DAC), June 2024 (acceptance rate: 20%).

[C48][LoG22] Chenhui Deng, Xiuyu Li, Zhuo Feng, and Zhiru Zhang "GARNET: Reduced-Rank Topology Learning for Robust and Scalable Graph Neural Networks", Learning on Graphs Conference (LoG), December 2022 (oral presentation, 9/195= 3%).

[C47][BMVC22] Yongyu Wang*, and Zhuo Feng, Towards Scalable Spectral Clustering via Spectrum-Preserving Sparsification, The British Machine Vision Conference (BMVC'22), November 2022 (spotlight presentation).

[C46] [ICCAD22] Ali Aghdaei*, and Zhuo Feng, HyperEF: Spectral Hypergraph Coarsening by Effective-Resistance Clustering, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2022 (acceptance rate: 132/586=22.5%).

[C45] [WSDM22] Yongyu Wang*, Zhiqiang Zhao*, and Zhuo Feng, Scalable Graph Topology Learning via Spectral Densification, ACM International Conference on Web Search and Data Mining (WSDM), March 2022 (acceptance rate: 20.2%).

[C44] [ICCAD21] Ali Aghdaei*, Zhiqiang Zhao*, and Zhuo Feng, HyperSF: Spectral Hypergraph Coarsening via Flow-based Local Clustering, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2021 (acceptance rate: 121/514=23.5%).

[C43] [ICML21] Wuxinlin Cheng*, Chenhui Deng, Zhiqiang Zhao*, Yaohui Cai, Zhiru Zhang, and Zhuo Feng, SPADE: A Spectral Method for Black-Box Adversarial Robustness Evaluation, International Conference on Machine Learning (ICML), July 2021 (acceptance rate: 21%).

[C42] [DAC21] Zhuo Feng, SGL: Spectral Graph Learning from Measurements, ACM/IEEE Design Automation Conference (DAC), July 2021 (acceptance rate: 23%).

[C41] [WSDM21] Zhiqiang Zhao*, Ying Zhang*, and Zhuo Feng, Towards Scalable Spectral Embedding and Data Visualization via Spectral Coarsening, ACM International Conference on Web Search and Data Mining (WSDM), March 2021 (acceptance rate: 19%).

[C40] [ICCAD20] Ying Zhang*, Zhiqiang Zhao*, and Zhuo Feng, SF-GRASS: Solver-Free Graph Spectral Sparsification, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2020 (acceptance rate: 127/470=27%).

[C39] [ICLR20] Chenhui Deng, Zhiqiang Zhao*, Yongyu Wang*, Zhiru Zhang, and Zhuo Feng, GraphZoom: A multi-level spectral approach for accurate and scalable graph embedding, International Conference on Learning Representations (ICLR), April 2020 (oral presentation, 48/2594 = 2%).

[C38] [DATE20] Zhiqiang Zhao*, and Zhuo Feng, A Spectral Approach to Scalable Vectorless Thermal Integrity Verification, Design, Automation & Test in Europe Conference & Exhibition (DATE), March 2020 (acceptance rate: 26%).

[C37] [DAC19] Zhiqiang Zhao*, and Zhuo Feng, Effective-Resistance Preserving Spectral Reduction of Graphs, ACM/IEEE Design Automation Conference (DAC), June 2019 (acceptance rate: 202/815=25%).

[C36] [DAC18] Zhuo Feng, Similarity-Aware Spectral Sparsification by Edge Filtering, ACM/IEEE Design Automation Conference (DAC), June 2018 (acceptance rate: 168/691=24%).

[C35] [ICCAD17] Zhiqiang Zhao*, Yongyu Wang*, and Zhuo Feng, SAMG: Sparsified Graph Theoretic Algebraic Multigrid for Solving Large Symmetric Diagonally Dominant (SDD) Matrices, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2017(acceptance rate: 105/399=26%).

[C34] [DAC17] Zhiqiang Zhao*, Zhuo Feng, A Spectral Graph Sparsification Approach to Scalable Vectorless Power Grid Integrity Verification, ACM/IEEE Design Automation Conference (DAC), June 2016 (acceptance rate: 20%).

[C33] [ICCAD16] Lengfei Han*, and Zhuo Feng, TinySPICE Plus: Scaling Up Statistical SPICE Simulations on GPU Leveraging Shared-Memory Based Sparse Matrix Solution Techniques, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2016 (acceptance rate: 97/408 = 24%).

[C32] [DAC16] Zhuo Feng, Spectral Graph Sparsification in Nearly-Linear Time Leveraging Efficient Spectral Perturbation Analysis, ACM/IEEE Design Automation Conference (DAC), June 2016 (acceptance rate: 152/876 = 17%).

[C31] [DAC15] Lengfei Han*, and Zhuo Feng, Transient-simulation guided graph sparsification approach to scalable harmonic balance (HB) analysis of post-layout RF circuits leveraging heterogeneous CPU-GPU computing systems, ACM/IEEE Design Automation Conference (DAC), June 2015 (acceptance rate: 162/789 = 20%).

[C30] [ICCAD14] Xueqian Zhao*, Zhuo Feng, and Zhuo Cheng, An Efficient Spectral Graph Sparsification Approach to Scalable Reduction of Large Flip-Chip Power Grids, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2014 (acceptance rate: 25%).

[C29] [ICSICT14] Zhuo Feng, Xueqian Zhao*, and Lengfei Han*, Graph Sparsification Approaches to Scalable Integrated Circuit Modeling and Simulations, IEEE 12th International Conference on Solid-State and Integrated Circuit Technology (ICSICT), October 2014 (invited paper).

[C28] [ICCAD13] Lengfei Han*, Xueqian Zhao*, and Zhuo Feng, An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2013 (acceptance rate: 92/354 = 26%).

[C27] [DAC13b] Lengfei Han*, Xueqian Zhao* and Zhuo Feng, TinySPICE: A Parallel SPICE Simulator on GPU for Massively Repeated Small Circuit Simulations, ACM/IEEE Design Automation Conference (DAC), June 2013 (acceptance rate: 162/747 = 22%). (pdf file, slides)
[C26] [DAC13a] Zhuo Feng, Scalable Vectorless Power Grid Current Integrity Verification, ACM/IEEE Design Automation Conference (DAC), June 2013 (acceptance rate: 162/747 = 22%). (Best Paper Award, 1/747=0.13%)

[C25] [DATE13] Zhuo Feng, Large-scale flip-chip power grid reduction with geometric templates, IEEE/ACM Design, Automation, and Test in Europe (DATE), March 2013.

[C24] [ICCAD12] Xueqian Zhao*, and Zhuo Feng, GPSCP: A General-Purpose Support-Circuit Preconditioning Approach to Large-Scale SPICE-Accurate Nonlinear Circuit Simulations, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2012 (acceptance rate: 82/338 = 24%).

[C23] [DAC12] Xueqian Zhao*, and Zhuo Feng, Towards Efficient SPICE-Accurate Nonlinear Circuit Simulation with On-the-Fly Support-Circuit Preconditioners, ACM/IEEE Design Automation Conference (DAC), June 2012 (acceptance rate: 164/741= 22%).

[C22] [ICCAD11b] Xueqian Zhao*, Jia Wang, Zhuo Feng and Shiyan Hu, Power Grid Analysis with Hierarchical Support Graphs, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 543-547, November 2011 (acceptance rate: 106/349 = 30%).

[C21] [ICCAD11a] Zhiyu Zeng, Tong Xu, Zhuo Feng, and Peng Li, Fast static analysis of power grids: algorithms and implementations, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 488-493, November 2011 (invited paper).

[C20] [DAC11] Xueqian Zhao*, and Zhuo Feng, Fast Multipole Method on GPU: Tackling 3-D Capacitance Extraction on Massively Parallel SIMD Platforms, ACM/IEEE Design Automation Conference (DAC), pp. 558-563, June 2011 (acceptance rate: 156/690 = 23%).

[C19] [ISQED11] Zhiyu Zeng, Zhuo Feng, and Peng Li, Efficient checking of power delivery integrity for power gating, IEEE International Symposium on Quality Electronic Design (ISQED), pp. 663-670, March 2011.

[C18] [ICCAD10] Zhuo Feng and Peng Li, Fast thermal analysis on GPU for 3D-ICs with integrated microchannel cooling, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2010 (acceptance rate: 108/360 = 30%).

[C17] [TECHCON10] Zhiyu Zeng, Xiaoji Ye, Zhuo Feng and Peng Li, Tradeoff Analysis and Optimization of Power Deliver Networks with On-Chip Voltage Regulation, SRC TECHCON'10, September 2010.

[C16] [DAC10c] Xueqian Zhao*, Yonghe Guo, Zhuo Feng, and Shiyan Hu, Parallel Hierarchical Cross Entropy Optimization for On-Chip Decap Budgeting, ACM/IEEE Design Automation Conference (DAC), pp. 843-848, June 2010 (acceptance rate: 148/607 = 24%).

[C15] [DAC10b] Zhiyu Zeng, Xiaoji Ye, Zhuo Feng and Peng Li, Tradeoff Analysis and Optimization of Power Deliver Networks with On-Chip Voltage Regulation, ACM/IEEE Design Automation Conference (DAC), pp. 831-836, June 2010 (acceptance rate: 148/607 = 24%).

[C14] [DAC10a] Zhuo Feng, and Zhiyu Zeng, Parallel Multigrid Preconditioning on Graphics Processing Units (GPUs) for Robust Power Grid Analysis, ACM/IEEE Design Automation Conference (DAC), pp. 661-666, June 2010 (acceptance rate: 148/607 = 24%).

[C13] [TAU10] Xueqian Zhao*, Yonghe Guo, Shiyan Hu, and Zhuo Feng, A novel cross-entropy approach to on-chip decap budgeting, ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU), March 2010.

[C12] [ISQED09] Zhiyu Zeng, Peng Li and Zhuo Feng, Parallel Partitioning Based On-chip Power Distribution Network Analysis Using Locality Acceleration, IEEE International Symposium on Quality Electronic Design (ISQED), pp. 776-781, March 2009.

[C11] [TECHCON08] Zhuo Feng and Peng Li, Design-Dependent Statistical Interconnect Corner Extraction Under Inter/Intra-Die Variations, SRC TECHCON'08, September 2008.

[C10] [ICCAD08] Zhuo Feng and Peng Li, Multigrid on GPU: Tackling Power Grid Analysis on Parallel SIMT Platforms, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 647-654, November 2008 (ACM/IEEE William J. McCalla ICCAD Best Paper Award Nomination, 3%).

[C9] [ICCAD07b] Zhuo Feng and Peng Li, A Methodology for Timing Model Characterization for Statistical Static Timing Analysis, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2007 (acceptance rate: 139/510 = 27%).

[C8] [ICCAD07a] Wei Dong, Zhuo Feng and Peng Li, Efficient VCO Phase Macromodel Generation Considering Statistical Parametric Variations, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2007 (acceptance rate: 139/510 = 27%).

[C7] [DAC07b] Guo Yu, Wei Dong, Zhuo Feng and Peng Li, A Framework for Accounting for Process Model Uncertainty in Statistical Static Timing Analysis, ACM/IEEE Design Automation Conference (DAC), pp. 824-834, June 2007 (acceptance rate: 152/659 = 23%).

[C6] [DAC07a] Zhuo Feng, Peng Li and Yaping Zhan, Fast Second-order Statistical Static Timing Analysis Using Parameter Dimension Reduction, ACM/IEEE Design Automation Conference (DAC), pp. 244-249, June 2007 (acceptance rate: 152/659 = 23%).

[C5] [ISQED07] Zhuo Feng, Guo Yu, and Peng Li, Reducing the Complexity of VLSI Performance Variation Modeling via Parameter Dimension Reduction, in Proceedings of IEEE International Symposium on Quality Electronic Design (ISQED), pp. 737-742, March 2007.

[C4] [TAU07] Zhuo Feng and Peng Li, Parameterized waveform-independent gate models for timing and noise analysis, in ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU), pp. 61-65, February 2007.

[C3] [ICCAD06b] Zhuo Feng and Peng Li, Performance-oriented Statistical Parameter Reduction of Parameterized Systems via Reduced Rank Regression, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 868-875, November 2006 (ACM/IEEE William J. McCalla ICCAD Best Paper Award Nomination, 3%).

[C2] [ICCAD06a] Ganesh Venkataraman, Zhuo Feng, Jiang Hu, Peng Li, Combinatorial Algorithms for Fast Clock Mesh Optimization, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 563-567, November 2006 (acceptance rate: 127/541 = 23%).

[C1] [ISQED06] Zhuo Feng, Peng Li and Jiang Hu, Efficient Model Update Scheme for General Link-insertion Networks, IEEE International Symposium on Quality Electronic Design (ISQED), pp.43-50, March 2006.

Journal Articles
[J22][TKDD23] Ying Zhang*, Zhiqiang Zhao*, and Zhuo Feng, diGRASS: Directed Graph Spectral Sparsification via Spectrum-Preserving Symmetrization, ACM Transactions on Knowledge Discovery from Data, to appear.

[J21] [TCAD22] SF-SGL: Solver-Free Spectral Graph Learning from Linear Measurements, Ying Zhang*, Zhiqiang Zhao*, and Zhuo Feng, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 42, pp. 1235-1249, 2022.

[J20] [TODAES22] A Multilevel Spectral Framework for Scalable Vectorless Power/Thermal Integrity Verification, Zhiqiang Zhao*, and Zhuo Feng, ACM Transactions on Design Automation of Electronic Systems, vol. 28, no. 11, pp. 1-25, 2022.

[J19] [TCAD21] feGRASS: Fast and Effective Graph Spectral Sparsification for Scalable Power Grid Analysis, Zhiqiang Liu, Wenjian Yu, and Zhuo Feng, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 41, pp. 681-694, Mar. 2022.

[J18] [CG20] Martin Imre, Jun Tao, Yongyu Wang*, Zhiqiang Zhao*, Zhuo Feng, and Chaoli Wang. Spectrum-Preserving Sparsification for Visualization of Big Graphs. Computers & Graphics, vol. 87, pp. 89-102, Apr. 2020.

[J17] [TCAD20] GRASS: Graph Spectral Sparsification Leveraging Scalable Spectral Perturbation Analysis, Zhuo Feng, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 39, no. 12, pp. 4944 - 4957, Dec. 2020.

[J16] [TCAD15b] Xueqian Zhao*, Lengfei Han*, and Zhuo Feng, A Performance-Guided Graph Sparsification Approach to Scalable and Robust SPICE-Accurate Integrated Circuit Simulations, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 10, pp. 1639-1651, Oct. 2015.

[J15] [TCAD15a] Lengfei Han*, Xueqian Zhao*, and Zhuo Feng, An Adaptive Graph Sparsification Approach to Scalable Harmonic Balance Analysis of Strongly Nonlinear Post-layout RF Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 2, pp. 173-185, Feb. 2015.

[J14][TVLSI14] Zhuo Feng, Fast RC Reduction of Flip-Chip Power Grids Using Geometric Templates, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 22, no. 11, pp. 2357-2365, Nov. 2014.

[J13] [TVLSI13b] Zhuo Feng, Scalable Multilevel Vectorless Power Grid Voltage Integrity verification, Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 8, pp. 1388-1397, Aug. 2013.

[J12] [TVLSI13a] Zhuo Feng, and Peng Li, Fast Thermal Analysis on GPU for 3D-ICs with Integrated Microchannel Cooling, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.21, no. 8, pp.1526-1539, Aug. 2013.

[J11] [IJNMBE11] Beini Jiang, Allan Struthers, Zhe Sun, Zhuo Feng, Xueqian Zhao*, Kaiyong Zhao, Weizhong Dai, Xiaobo Zhou, Michael E. Berens, and Le Zhang, Employing graphics processing unit technology, alternating direction implicit method and domain decomposition to speed up the numerical diffusion solver for the biomedical engineering research, International Journal for Numerical Methods in Biomedical Engineering, vol. 27, no.11, pp. 1829-1849, Nov. 2011.

[J10] [TCAD11b] Xueqian Zhao*, Yonghe Guo, Xiaodao Chen, Zhuo Feng and Shiyan Hu, Hierarchical Cross-Entropy Optimization for Fast On-Chip Decap Budgeting, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no.11, pp. 1610-1620, Nov. 2011.

[J9] [TCAD11a] Zhuo Feng, Xueqian Zhao* and Zhiyu Zeng, Robust Parallel Preconditioned Power Grid Simulation on GPU with Adaptive Runtime Performance Modeling and Optimization, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.30, no.4, pp.562-573, April 2011.

[J8] [TODAES11] Zhiyu Zeng, Zhuo Feng, Peng Li, and Vevik Sarin, Locality-Driven Parallel Static Analysis for Power Delivery Networks, ACM Transactions on Design Automation of Electronic Systems, vol. 16, no. 3, pp. 28:1-28:17, 2011.

[J7] [TVLSI11] Zhuo Feng, Zhiyu Zeng and Peng Li, Parallel On-Chip Power Distribution Network Analysis on Multi-Core-Multi-GPU Platforms, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.19, no.10, pp.1823-1836, Jun. 2011.

[J6] [TVLSI10] Ganesh Venkataraman, Zhuo Feng, Jiang Hu, and Peng Li, Combinatorial algorithms for fast clock mesh optimization, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.18, no.1, pp.131-141, Jan. 2010.

[J5] [IET09] Zhuo Feng, Peng Li and Zhuoxiang Ren, SICE: design-dependent statistical interconnect corner extraction under inter/intra-die variations, IET Circuits, Devices & Systems, vol.3, no.5, pp. 248-258, Oct. 2009.

[J4] [TCAD09] Zhuo Feng, Yaping Zhan, and Peng Li, An On-the-Fly Parameter Dimension Reduction Approach to Fast Second-Order Statistical Static Timing Analysis, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, no.1, pp.141-153, Jan. 2009.

[J3] [TVLSI09] Zhuo Feng and Peng Li, Performance-Oriented Parameter Dimension Reduction of VLSI Circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.17, no.1, pp.137-150, Jan. 2009. (The most downloaded papers of IEEE TVLSI in 2009)

[J2] [TCAD08] Guo Yu, Wei Dong, Zhuo Feng and Peng Li, Statistical Static Timing Analysis Considering Process Variation Model Uncertainty, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.27, no.10, pp.1880-1890, Oct. 2008.

[J1] [TVLSI07] Peng Li, Zhuo Feng and Emrah Acar, Characterizing multi-stage nonlinear drivers and variability for accurate timing and noise analysis, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.15, no.11, pp.1205-1214, Nov. 2007.

Book Chapters
[B1] Rasit Onur Topaloglu, Zhuo Feng and Peng Li, Interconnect variability and performance analysis, 18 pages, in Recent Advancements in Modeling of Semiconductor Processes, Circuits and Chip-Level Interactions (editor: Rasit Onur Topaloglu, co-editor: Peng Li), Bentham Publishing (www.ebook-engineering.org) 2011.

Poster Presentations
[P12] [DACPHD21] Ali Aghdaei*, Zhuo Feng, High-performance Spectral Methods for Hypergraphs, DAC Ph.D. Forum, 2021.

[P11] [DACPHD19] Zhiqiang Zhao*, Zhuo Feng, Towards Scalable Vectorless Power Grid and Thermal Integrity Verification, DAC Ph.D. Forum, 2018.

[P10] [ICCADAMS17] Zhiqiang Zhao*, and Zhuo Feng, A Spectral Graph Sparsification Approach to Scalable Vectorless Power Grid Integrity Verification, International Workshop on Design Automation for Analog and Mixed-Signal Circuits, November 2017.

[P10] [ICCADAMS16] Zhuo Feng, Scalable Spectral Sparsification of Graph Laplacians and Integrated Circuits, International Workshop on Design Automation for Analog and Mixed-Signal Circuits, November 2016.

[P9] [ICCADFAC15] Lengfei Han* and Zhuo Feng, Transient-Simulation Guided Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Post-Layout RF Circuits Leveraging Heterogeneous CPU-GPU Computing Systems, Frontiers in Analog CAD (FAC), International Workshop on Design Automation for Analog and Mixed-Signal Circuits, November 2015.

[P8] [ICCADAMS14] Xueqian Zhao*, Lengfei Han* and Zhuo Feng, A Performance-Guided Graph Sparsification Approach to Scalable and Robust SPICE-Accurate Integrated Circuit Simulations, International Workshop on Design Automation for Analog and Mixed-Signal Circuits, November 2014.

[P7] [DACPHD14] Lengfei Han*, An Efficient Graph Sparsification Approach to Scalable and Robust Preconditioned Harmonic Balance (HB) Analysis of Strongly Nonlinear Radio-Frequency Integrated Circuits, DAC Ph.D. Forum, June 2014.

[P6] [ICCADAMS13] Lengfei Han*, Xueqian Zhao* and Zhuo Feng, Graph Sparsification Approach to Scalable Harmonic Balance Analysis of RF Circuits, International Workshop on Design Automation for Analog and Mixed-Signal Circuits, November 2013.

[P5] [ICCADAMS12] Xueqian Zhao* and Zhuo Feng, GPSCP: A General-Purpose Support-Circuit Preconditioning Approach to Large-Scale SPICE-Accurate Nonlinear Circuit Simulations, International Workshop on Design Automation for Analog and Mixed-Signal Circuits, November 2012.

[P4] [DACPHD12] Xueqian Zhao*, Scalable SPICE-Accurate Nonlinear Circuit Simulation with On-the-Fly Support-Circuit Preconditioners, DAC Ph.D. Forum, June 2012.

[P3] [DACWIP12] Lengfei Han*, Xueqian Zhao* and Zhuo Feng, TinySPICE: A Parallel SPICE Simulator on GPU for Massively Repeated Small Circuit Simulations, DAC Work-In-Progress, June 2012.

[P2] [PAPA11] Xueqian Zhao* and Zhuo Feng, Parallel CAD Algorithms for Energy Efficient Heterogeneous Computing Platforms, DAC Workshop on Parallel Algorithms, Programming, and Architectures (PAPA), June 2011.

[P1] [PAPA11] Zhiyu Zeng, Xiaoji Ye, Zhuo Feng, Tong Xu and Peng Li, GSim: A Fast CPU-GPU Combined Parallel Simulator for Power Delivery Networks with On-Chip Voltage Regulation, DAC Workshop on Parallel Algorithms, Programming, and Architectures (PAPA), June 2011.

Courses

CPE690 Introduction to VLSI Design (2019F, 2020S, 2021S, 2022S, 2022F, 2023S, 2023F, 2024S)
CPE 810A: GPU and Multicore Programming (2020F, 2021F, 2022S, 2023S, 2024S)
CPE 820 Research Seminar (2021S, 2021F, 2022S)